Publications

Journal Papers

2021

Pseudo-3D Physical Design Flow for Monolithic 3D ICs: Comparisons and Enhancements

  • Heechun Park, Bon Woong Ku, Kyungwook Chang, Da Eun Shim, and Sung Kyu Lim

  • ACM Transactions on Design Automation of Electronic Systems

(Accepted)

High-Performance Logic-on-Memory Monolithic 3D IC Designs for Arm Cortex-A Processors

  • Lingjun Zhu, Lennart Bamberg, Sai Pentapati, Kyungwook Chang, Francky Catthoor, Dragomir Milojevic, Manu Komalan, Brian Cline, Saurabh Sinha, Xiaoqing Xu, Alberto Garcia-Ortiz, Sung Kyu Lim

  • IEEE Transactions on Very Large Scale Integration Systems 

Learn More >

Design-aware Partitioning-based 3-D IC Design Flow with 2-D Commercial Tools

  • Kyungwook Chang, Saurabh Sinha, Brian Cline, Greg Yeri Sung Kyu Lim

  • IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Learn More >

2019

Compact-2D: A Physical Design Methodology to Build Two-Tier Gate-Level 3D ICs

  • Bon Woong Ku, Kyungwook Chang, and Sung Kyu Lim

  • IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

Learn More >

System-Level Power Delivery Network Analysis and Optimization for Monolithic 3-D ICs

  • Kyungwook Chang, Shidhartha Das, Saurabh Sinha, Brian Cline, Greg Yeric, and Sung Kyu Lim

  • EEE Transactions on Very Large Scale Integration Systems

Learn More >

2018

Power, Performance, and Area Benefit of Monolithic 3D ICs for On-Chip Deep Neural Networks Targeting Speech Recognition

  • Kyungwook Chang, Deepak Kadetotad, Yu Cao, Jae-sun Seo, and Sung Kyu Lim

  • ACM Journals on Emerging Technologies in Conputing Systems

Learn More >

2017

Impact and Design Guideline of Monolithic 3-D IC at the 7-nm Technology Node

  • Kyungwook Chang, Kartik Acharya, Saurabh Sinha, Brian Cline, Greg Yeric, and Sung Kyu Lim

  • IEEE Transactions on Very Large Scale Integration Systems

Learn More >

Conference Papers

2020

VLSI placement parameter optimization using deep reinforcement learning

  • Anthony Agnesina, Kyungwook Chang, and Sung Kyu Lim

  • IEEE International Conference on Computer-Aided Design

Learn More >

Pin-3D: A Physical Synthesis and Post-Layout Optimization Flow for Heterogeneous Monolithic 3D ICs

  • Sai Pentapati, Kyungwook Chang, Vassilios Gerousis, Rwik Sengupta, and Sung Kyu Lim

  • IEEE International Conference on Computer-Aided Design

Learn More >

Full-Chip Electro-Thermal Coupling Extraction and Analysis for Face-to-Face Bonded 3D ICs

  • Lingjun Zhu, Kyungwook Chang, Dusan Petranovic, Saurabh Sinha, Yun Seop Yu, and Sung Kyu Lim

  • ACM International Symposium on Physical Design

Learn More >

Pseudo-3D Approaches for Commercial-Grade RTL-to-GDS Tool Flow Targeting Monolithic 3D ICs

  • Heechun Park, Bon Woong Ku, Kyungwook Chang, Da Eun Shim, and Sung Kyu Lim

  • ACM International Symposium on Physical Design

Learn More >

2019

RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs

  • Heechun Park, Kyungwook Chang, Bon Woong Ku, Jinwoo Kim, Edward Lee, Daehyun Kim, Arjun Chaudhuri, Sanmitra Banerjee, Saibal Mukhopadhyay, Krishnendu Chakrabarty, and Sung Kyu Lim

  • ACM Design Automation Conference

Learn More >

2018

Compact-2D: A Physical Design Methodology to Build Commercial-Quality Face-to-Face-Bonded 3D ICs

  • Bon Woong Ku, Kyungwook Chang, Sung Kyu Lim

  • ACM International Symposium on Physical Design

Learn More >

Road to High-Performance 3D ICs: Performance Optimization Methodologies for Monolithic 3D ICs

  • Kyungwook Chang, Sai Pentapati, Da Eun Shim, Sung Kyu Lim

  • ACM/IEEE International Symposium on Low Power Electronics and Design

Learn More >

2017

Frequency and Time Domain Analysis of Power Delivery Network for Monolithic 3D ICs

  • Kyungwook Chang, Shidhartha Das, Saurabh Sinha, Brian Cline, Greg Yeric, and Sung Kyu Lim

  • ACM/IEEE International Symposium on Low Power Electronics and Design

Learn More >

Monolithic 3D IC Designs for Low-Power Deep Neural Networks Targeting Speech Recognition

  • Kyungwook Chang, Deepak Kadetotad, Yu Cao, Jae-sun Seo, and Sung Kyu Lim

  • ACM/IEEE International Symposium on Low Power Electronics and Design

Learn More >

Full-chip monolithic 3D IC design and power performance analysis with ASAP7 library: (Invited Paper)

  • Kyungwook Chang, Bon Woong Ku, Saurabh Sinha, Sung Kyu Lim

  • IEEE International Conference On Computer Aided Design

Learn More >

Design automation and testing of monolithic 3D ICs: Opportunities, challenges, and solutions: (Invited paper)

  • Kyungwook Chang, Abhisheck Koneru, Krishnendu Chakrabarty, Sung Kyu Lim

  • IEEE International Conference On Computer Aided Design

Learn More >

2016

Monolithic 3D IC Design: Power, Performance, and Area Impact at 7nm

  • Kartik Acharya, Kyungwook Chang, Bon Woong Ku, Shreepad Panth, Saurabh Sinha, Brian Cline, Greg Yeric, and Sung Kyu Lim

  • IEEE International Symposium on Quality Electronic Design

Learn More >

Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools

  • Kyungwook Chang, Saurabh Sinha, Brian Cline, Raney Southerland, Michael Doherty, Greg Yeric, and Sung Kyu Lim

  • IEEE International Conference On Computer Aided Design

Learn More >

Match-making for Monolithic 3D IC: Finding the Right Technology Node

  • Kyungwook Chang, Saurabh Sinha, Brian Cline, Greg Yeric, and Sung Kyu Lim

  • ACM Design Automation Conference

Learn More >

2015

Power Benefit Study of Monolithic 3D IC at the 7nm Technology Node

  • Kyungwook Chang, Kartik Acharya, Saurabh Sinha, Brian Cline, Greg Yeric and Sung Kyu Lim

  • IEEE International Symposium on Low Power Electronics and Design

Learn More >

2010

Automatic Mapping of Control Intensive Kernels onto Coarse-Grained Reconfigurable Array Architecture with Speculative Execution

  • Ganghee Lee, Kyungwook Chang and Kiyoung Choi

  • Reconfigurable Architectures Workshop

Learn More >

Memory-Centric Communication Architecture for Reconfigurable Computin

  • Kyungwook Chang and Kiyoung Choi

  • International Symposium on Applied Reconfigurable Computing

Learn More >

2009

Coarse-Grained Reconfigurable Architecture for Multiple Application Domains: a Case Study

  • Manhwee Jo, Ganghee Lee, Kyungwook Chang, Kyuseung Han, Kiyoung Choi, Hoonmo Yang and Kiwook Yoon

  • International Conference on Convergence and Hybrid Information Technology

Learn More >

2008

Mapping Control Intensive Kernels onto Coarse-Grained Reconfigurable Array Architecture

  • Kyungwook Chang and Kiyoung Choi

  • International SoC Design Conference

Learn More >

Patents

2020

Method and apparatus for generating three-dimensional integrated circuit design

  • Saurabh Sinha, Kyungwook Chang, Brian Cline, Raney Southerland

  • US20200257841A1

Learn More >

Method for generating three-dimensional integrated circuit design

  • Saurabh Sinha, Kyungwook Chang, Brian Cline, Raney Southerland

  • US10678985B2

Learn More >

2018

Using inter-tier vias in integrated circuits

  • Saurabh Sinha, Robert Aitken, Brian Cline, Greg Yeric, Kyungwook Chang

  • US9929149B2

Learn More >

2015

Memory centric communication apparatus in coarse grained reconfigurable array

  • Kiyoung Choi, Kyungwook Chang, Jongkyung Paek

  • US8949550B2

Learn More >